summaryrefslogtreecommitdiff
path: root/src
diff options
context:
space:
mode:
authorChristian Poessinger <christian@poessinger.com>2019-12-22 21:56:40 +0100
committerChristian Poessinger <christian@poessinger.com>2019-12-22 21:56:40 +0100
commit246c72b2ae948d1721320abb16a10aa6e0c8eadc (patch)
tree60e0e602357ef59bc6ba542816121a956c8ac0bf /src
parente4b56e2988d3c5599702e858bd2b9ea8ed0ec9a1 (diff)
downloadvyos-1x-246c72b2ae948d1721320abb16a10aa6e0c8eadc.tar.gz
vyos-1x-246c72b2ae948d1721320abb16a10aa6e0c8eadc.zip
fixup
Diffstat (limited to 'src')
-rwxr-xr-xsrc/conf_mode/lldp.py2
1 files changed, 1 insertions, 1 deletions
diff --git a/src/conf_mode/lldp.py b/src/conf_mode/lldp.py
index 9fd32d83f..8c57eacd3 100755
--- a/src/conf_mode/lldp.py
+++ b/src/conf_mode/lldp.py
@@ -44,7 +44,7 @@ configure system interface pattern "{{ options.listen_on | join(",") }}"
configure system ip management pattern "{{ options.addr }}"
{%- endif %}
{%- for loc in location -%}
-{%- if loc.elin -%}
+{%- if loc.elin %}
configure ports {{ loc.name }} med location elin "{{ loc.elin }}"
{%- endif %}
{% endfor %}