Accelerated data-plane 295 CPU settings List of cores worker threads <id> CPU core id <idN>-<idM> CPU core id range (use '-' as delimiter) not a valid CPU core value or range Main core u32:0-512 Assign main thread to specific core Skip cores u32:0-512 Skip cores Create worker threads u32:0-4294967295 Worker threads Interface ethN Interface name ((eth|lan)[0-9]+|(eno|ens|enp|enx).+) Invalid interface name Number of receive ring descriptors u32:256-8192 Number of receive ring descriptors Number of tranceive ring descriptors u32:256-8192 Number of tranceive ring descriptors Number of receive ring descriptors u32:256-8192 Number of receive queues Number of tranceive ring descriptors u32:256-8192 Number of tranceive queues PCI address allocation auto Auto detect PCI address <xxxx:xx:xx.x> Set Peripheral Component Interconnect (PCI) address (auto|[0-9a-fA-F]{4}:[0-9a-fA-F]{2}:[0-9a-fA-F]{2}\.[0-9a-fA-F]) auto Receive packet processing mode polling interrupt adaptive polling Constantly check for new data interrupt Interrupt mode adaptive Adaptive mode (polling|interrupt|adaptive) IP settings IPv4 heap size u32:0-4294967295 Amount of memory (in Mbytes) dedicated to the destination IP lookup table 32 IPv6 settings IPv6 heap size u32:0-4294967295 Amount of memory (in Mbytes) dedicated to the destination IP lookup table 32 IPv6 forwarding table hash buckets u32:1-4294967295 IPv6 forwarding table hash buckets 65536 Level 2 MAC address learning settings Number of MAC addresses in the L2 FIB u32:1-4294967295 Number of concurent entries 4194304 Loggint settings default-log-level alert crit debug disabled emerg err info notice warn alert Alert crit Critical debug Debug disabled Disabled emerg Emergency err Error info Informational notice Notice warn Warning (alert|crit|debug|disabled|emerg|err|info|notice|warn) Memory settings Set memory size for protectable memory allocator (pmalloc) memory space <number>m Megabyte <number>g Gigabyte Unix settings Add a fixed-sleep between main loop poll u32:0-4294967295 Number of receive queues 0