summaryrefslogtreecommitdiff
path: root/templates/clear
diff options
context:
space:
mode:
authorSaurabh Mohan <saurabh.mohan@vyatta.com>2012-08-09 13:14:49 -0700
committerSaurabh Mohan <saurabh.mohan@vyatta.com>2012-08-09 13:14:49 -0700
commit6aaca3a4bdc77c804e21ad0a3c1ab5ce4d7d8686 (patch)
tree0fdbf6cb955c1d3231c90fe093a130b18350973c /templates/clear
parentc60e40528702f0a3b358925c00d52d5879a9948a (diff)
downloadvyatta-op-6aaca3a4bdc77c804e21ad0a3c1ab5ce4d7d8686.tar.gz
vyatta-op-6aaca3a4bdc77c804e21ad0a3c1ab5ce4d7d8686.zip
Bugfix 8217: VTI: add clear and monitor interfaces commands.
Add support for interface vti for operational commands clear and monitor.
Diffstat (limited to 'templates/clear')
-rw-r--r--templates/clear/interfaces/vti/counters/node.def2
-rw-r--r--templates/clear/interfaces/vti/node.def1
-rw-r--r--templates/clear/interfaces/vti/node.tag/counters/node.def2
-rw-r--r--templates/clear/interfaces/vti/node.tag/node.def4
4 files changed, 9 insertions, 0 deletions
diff --git a/templates/clear/interfaces/vti/counters/node.def b/templates/clear/interfaces/vti/counters/node.def
new file mode 100644
index 0000000..8b81d1f
--- /dev/null
+++ b/templates/clear/interfaces/vti/counters/node.def
@@ -0,0 +1,2 @@
+help: Clear all vti interface counters
+run: sudo ${vyatta_bindir}/sudo-users/vyatta-show-interfaces.pl --action=clear --intf-type="$3"
diff --git a/templates/clear/interfaces/vti/node.def b/templates/clear/interfaces/vti/node.def
new file mode 100644
index 0000000..1448e18
--- /dev/null
+++ b/templates/clear/interfaces/vti/node.def
@@ -0,0 +1 @@
+help: Clear vti interface information
diff --git a/templates/clear/interfaces/vti/node.tag/counters/node.def b/templates/clear/interfaces/vti/node.tag/counters/node.def
new file mode 100644
index 0000000..8832a04
--- /dev/null
+++ b/templates/clear/interfaces/vti/node.tag/counters/node.def
@@ -0,0 +1,2 @@
+help: Clear interface counters for a given vti interface
+run: sudo ${vyatta_bindir}/sudo-users/vyatta-show-interfaces.pl --action=clear --intf="$4"
diff --git a/templates/clear/interfaces/vti/node.tag/node.def b/templates/clear/interfaces/vti/node.tag/node.def
new file mode 100644
index 0000000..b7cfb64
--- /dev/null
+++ b/templates/clear/interfaces/vti/node.tag/node.def
@@ -0,0 +1,4 @@
+help: Clear interface information for a given vti interface
+allowed: local -a array ;
+ array=( /sys/class/net/vti* ) ;
+ echo -n ${array[@]##*/}